• 设为首页
  • 点击收藏
  • 手机版
    手机扫一扫访问
    迪恩网络手机版
  • 关注官方公众号
    微信扫一扫关注
    迪恩网络公众号

Modelsim联合Matlab搭建FPGA图像仿真平台

原作者: [db:作者] 来自: [db:来源] 收藏 邀请

一、原理

1Matlab程序img_data_gen.m将要处理的pre_img.jpg图片转为pre_img.txt文本。

2Verilog程序img_gen.v读取该pre_img_txt文本,并进行一系列的图像处理。

3、图像处理后的结果用Verilog程序top_tb.v输出为另一个post_img.txt文本。

4matlab程序img_data_show.m读取该post_img.txt文本,转为post_img.jpg图片

 

二、文件说明

 

三、操作步骤

1、要处理的图片的分辨率调为640x480,命名为pre_img.jpg,放入matlab文件夹中。

 

2、双击打开matlab程序img_data_gen.m,点击运行。

3、运行结束后,matlab会自动弹出这张图片,同时发现已经生成了pre_img.txt文本。

 

4、双击rtl_tb---sim---点我仿真.bat文件,电脑自动打开Modelsim并运行波形。

5、回到matlab文件夹,发现多了一个Modelsim写成的post_img.txt文件。

6、双击打开matlab程序img_data_show.m,点击运行。

7、回到matlab文件夹,可以看到post_img.jpg,处理后的图片出现了。

 

四、操作演示

 


鲜花

握手

雷人

路过

鸡蛋
该文章已有0人参与评论

请发表评论

全部评论

专题导读
上一篇:
MATLAB合并已经绘制的figure到新的子图中发布时间:2022-07-18
下一篇:
如何通过Matlab代码自动生成高清矢量PDF图片?发布时间:2022-07-18
热门推荐
阅读排行榜

扫描微信二维码

查看手机版网站

随时了解更新最新资讯

139-2527-9053

在线客服(服务时间 9:00~18:00)

在线QQ客服
地址:深圳市南山区西丽大学城创智工业园
电邮:jeky_zhao#qq.com
移动电话:139-2527-9053

Powered by 互联科技 X3.4© 2001-2213 极客世界.|Sitemap