• 设为首页
  • 点击收藏
  • 手机版
    手机扫一扫访问
    迪恩网络手机版
  • 关注官方公众号
    微信扫一扫关注
    迪恩网络公众号

chipscope数据导入matlab

原作者: [db:作者] 来自: [db:来源] 收藏 邀请

借助matlab对chipscope采集的数据进行分析,希望对大家有用!

 

1、  首先按平时一样用chipscope对数据进行采样!不过为了方便以后导入matlab查看,这里我们建议查看采样信号要使用bus总线方式,这个不多说了,应该都会的。

 

2、  点击file->export 选项,弹出一个export signals窗口,format选择ascii选项,signals to Export选择bus plot buses,core默认就行。

 

3、  点击export按钮,保存为.prn后缀文件。如username.prn.

 

4、  打开matlab软件,我们可以直接打开这个.prn文件进行编辑和查看(如果需要),也可以使用matlab命令xlLoadChipScopeData(‘username.prn’);把之前chipscope导出的bus总线数据导入为malab空间变量,并且空间变量的名字和在chipscope中bus总线对应信号线的名字一样的。呵呵,信号变量有了,以后就可以方便进行相关信号分析处理了。

 

转自:http://group.ednchina.com/GROUP_MES_14596_2101_53040.HTM


鲜花

握手

雷人

路过

鸡蛋
该文章已有0人参与评论

请发表评论

全部评论

专题导读
上一篇:
MATLAB设计FIR滤波器,FPGA实现FIR滤波器发布时间:2022-07-18
下一篇:
DelphiXE2有什么新功能发布时间:2022-07-18
热门推荐
阅读排行榜

扫描微信二维码

查看手机版网站

随时了解更新最新资讯

139-2527-9053

在线客服(服务时间 9:00~18:00)

在线QQ客服
地址:深圳市南山区西丽大学城创智工业园
电邮:jeky_zhao#qq.com
移动电话:139-2527-9053

Powered by 互联科技 X3.4© 2001-2213 极客世界.|Sitemap